Welcome![Sign In][Sign Up]
Location:
Search - game vhdl

Search list

[VHDL-FPGA-Verilogvgaball

Description: 用VHDL编写的小球游戏代码,用VGA显示,模块对vga控制器有很好的移植性-Written by VHDL balls of the game code, VGA display, module to the VGA controller have very good portability...
Platform: | Size: 3856384 | Author: wu | Hits:

[VHDL-FPGA-VerilogPS2VGA_GAME_C5H

Description: 打砖块游戏 vga输出 ps2输入 vhdl源代码-vhdl game vgaoutput ps2 output
Platform: | Size: 78848 | Author: 知府 | Hits:

[VHDL-FPGA-Verilogbaheyouxiji

Description: 用vhdl实验板子实现用led灯和按钮实现拔河游戏,通过按键快慢来决定灯的移动顺序,从而获胜-bahe game for led
Platform: | Size: 5965824 | Author: 快乐王 | Hits:

[VHDL-FPGA-Verilogarmandas-Plong-e2a4bd5

Description: Plong Game in VHDL Source Code
Platform: | Size: 14336 | Author: DuySir0 | Hits:

[VHDL-FPGA-Verilogpingponggames

Description: 乒乓球游戏机的设计,采用VHDL语言编写,有完整的设计要求,以及系统的实现完整代码-Table tennis game design using VHDL language, complete the design requirements, as well as the realization of the complete code
Platform: | Size: 1042432 | Author: wjc | Hits:

[VHDL-FPGA-VerilogVHDL_Snake_Game

Description: 在FPGA开发板上用VHDL语言实现了贪吃蛇游戏,开发软件为quartus 2.这是详细的实验报告,包括源码-Snake game with VHDL FPGA development board, software development quartus 2 This is a detailed experimental report, including the source
Platform: | Size: 2142208 | Author: 李守宪 | Hits:

[Windows DevelopVVHDDLexampllH

Description: VHDL的49个源码实例,源码实例丰富,有计数器、状态机机、寄存器、汉明纠错码编码器、游戏源码程序源码 -49 of VHDL source instance, the source instance, counters, state machines machine registers, the Hamming error correction code encoder, the game source program source code
Platform: | Size: 45056 | Author: CerisTao | Hits:

[Windows DevelopUtannchishhes

Description: 用VHDL语言编程与开发在EPM7128SLC8—15数电实验开发板上实现贪吃吃蛇游戏源码,附有EPM7128SLC8—15数电实验开发板的样图 -VHDL programming and development EPM7128SLC8-15 the number of electrical experimental development board greedy eating snake game source, accompanied by the development board of EPM7128SLC8-15 the number of electrical experiments the samples
Platform: | Size: 1076224 | Author: 空白 | Hits:

[Windows DevelopWbaheyouxijii

Description: 用vhdl写的拔河游戏机代码,,后缀名改为vhd即可 -Vhdl write the tug-of-war game code extension to vhd can
Platform: | Size: 6144 | Author: 圣人 | Hits:

[VHDL-FPGA-Verilogpingpangqiu

Description: 使用vhdl语言设计的乒乓球比赛游戏机,一个由甲乙双方参赛,有裁判的三人乒乓球游戏机。用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各代表参赛双方的位置,用点亮二极管按照一定方向移动来表示球的运动。在游戏机的两侧各设置两个开关,一个是发球开关(af,bf),另一个是击球开关(aj,bj)。甲乙二人按乒乓球比赛的规则来操作开关。 点亮,代表乒乓球在移动。比赛一直进行到一方几分为11分,该局结束,记分牌清零,可以开始新的一局比赛。 -Using VHDL language design table tennis game consoles, a competition by both parties, the referee of three table tennis game. Double as a table tennis net with eight light-emitting diodes on behalf of a ping-pong table, in the middle of two light-emitting diodes, on both sides of the representatives participating both lit diode according to a certain direction to move to represent the movement of the ball. Setting two switches, each on both sides of the ride is a tee switch (af, bf), another is batting switch (aj, bj). B two table tennis competition rules to operate the switch. On behalf of table tennis in mobile. The game has been carried out to the party a bit for 11 minutes it ends scoreboard is cleared, you can start a new innings.
Platform: | Size: 492544 | Author: 陈小龙 | Hits:

[VHDL-FPGA-VerilogFinal

Description: A "Tank Duel" game based on FPG, developmented in VHDL. -- Final Project in ASIC & FPGA Design class -A "Tank Duel" game based on FPG, developmented in VHDL.-- Final Project in ASIC & FPGA Design class
Platform: | Size: 13121536 | Author: rusty | Hits:

[VHDL-FPGA-Verilogtanchishe

Description: 用硬件描述语言VHDL编写的小游戏,可下载到实验板上实现在8*8的点阵上的贪吃蛇游戏-Written using a hardware description language VHDL game can be downloaded to the experimental board to achieve the 8* 8 dot matrix, Snake game
Platform: | Size: 577536 | Author: 王凯鹏 | Hits:

[Other Gamespingpong

Description: Pong game on Spartan 3E with VHDL
Platform: | Size: 730112 | Author: Abdul | Hits:

[VHDL-FPGA-Verilogtoplevel_png

Description: top level for ping pong game on vhdl
Platform: | Size: 1024 | Author: Abdul | Hits:

[VHDL-FPGA-Verilogtetrix

Description: 基于EXCD-1开发板的VHDL语言开发程序,用以实现俄罗斯方块游戏的设计的功能。-The VHDL development program based on the EXCD-1 development board to achieve Tetris game design function.
Platform: | Size: 19456 | Author: 徐世超 | Hits:

[OtherKlotshi

Description: 华容道游戏VHDL代码,分为计时和非计时模式,有胜利、失败画面以及音乐-The game Klotshi in VHDL. Two modes (time and non-timing), with music and pictures for win and lose
Platform: | Size: 8119296 | Author: 安安 | Hits:

[Other GamesTEST

Description: 模仿打地鼠游戏 基于VHDL的代码 输出部分为HDMI-Hamster imitate playing game based on VHDL code
Platform: | Size: 50176 | Author: 黄端阳 | Hits:

[VHDL-FPGA-Verilogemg

Description: 游戏手柄控制fpga贪食蛇小游戏 挺有意思的一个小游戏。-fpga Snake game based on the vhdl and control by the gamepad. it is a fun game.
Platform: | Size: 274432 | Author: 张洋洋 | Hits:

[VHDL-FPGA-VerilogXilinx_vga_games_design

Description: 经典的程序,用VHDL编写的游戏,俄罗斯方块,在赛灵思Spartan板子上测试成功-Classic procedures, written in VHDL game, Tetris, on the board of the Xilinx Spartan test
Platform: | Size: 198656 | Author: zc | Hits:

[Othervga1

Description: alart II硬件vhdl语言,vga显示,实现猜数字游戏小游戏,内部使用ram,vga,nodII编程,内程序完整,适合于课堂课题的完成。-hardware VHDL language alart II, VGA display, the game of guessing game, internal RAM, VGA, nodII programming within the integrity of the process, suitable for the completion of the classroom topics.
Platform: | Size: 6767616 | Author: liuyang | Hits:
« 1 2 3 4 5 6 7 89 10 »

CodeBus www.codebus.net